From 713e8b5d7f4cc78f3ae3bd980655cd31d925a7da Mon Sep 17 00:00:00 2001 From: Daniel Wolf Date: Sat, 31 Oct 2015 20:41:17 +0100 Subject: [PATCH] Fixed comment --- src/main.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/main.cpp b/src/main.cpp index 234ad34..f7ae262 100644 --- a/src/main.cpp +++ b/src/main.cpp @@ -55,8 +55,8 @@ int main(int argc, char *argv[]) { auto start = std::chrono::steady_clock::now(); std::vector buffer; - const int capacity = 1600; - buffer.reserve(capacity); // 0.1 second capacity + const int capacity = 1600; // 0.1 second capacity + buffer.reserve(capacity); int sampleCount = 0; do { // Read to buffer